神经形态计算

来自集智百科 - 复杂系统|人工智能|复杂科学|复杂网络|自组织
跳到导航 跳到搜索

本文主要参考并翻译的文章为"2022 roadmap on neuromorphic computing and engineering.",结合维基百科词条Neuromorphic engineering

概述

神经形态工程 Neuromorphic engineering(也称为神经形态计算 Neuromorphic computing或类脑计算 Brain-inspired computing)。[1][2][3]

是指使用包含电子模拟电路的超大规模集成电路系统来模拟神经系统中生理结构的研究方法。神经形态计算机或神经形态芯片包括任何使用由硅制成的人造神经元进行计算的设备。[4][5]近年来,神经形态学 neuromorphic被用来描述能够实现神经系统模型功能(如感知、运动控制,多感官整合等)的模拟、数字、模拟/数字混合模式超大规模集成电路和软件系统。神经形态计算的硬件实现可以通过基于氧化物的记忆电阻器 Memristor(简称忆阻器)、自旋电子存储器、阈值开关和晶体管来实现。[5][6]对基于软件的脉冲神经网络系统的训练可以通过误差反向传播机制来实现,例如,使用snnTorch等基于Python的框架[7],或使用BindsNet等典型的受生物启发的学习模式[8]

神经形态工程领域的一个关键问题,就是理解单个神经元形态、神经回路、应用和整体结构如何产生理想的计算,如何影响信息的表示和对破坏的鲁棒性,如何整合学习和发展,如何适应局部变化(可塑性) 并促进逐渐发展的变化。

神经形态工程是以生物学、物理学、数学、计算机科学和电子工程[5]等学科为基础,设计人工神经系统(如视觉系统、头眼系统、听觉处理器以及物理结构和设计原则都受启发于生物神经系统的自主机器人)的一门交叉学科[9]。20世纪80年代后期,Carver Mead极大地推动了神经形态工程领域的发展[10]

发展脉络

来源与启发

计算机已成为现代生活各个方面的关键。现如今,数据密集型应用对硬件性能提出了很高的要求,例如短访问延迟、大容量、高带宽、低成本和执行人工智能任务的能力。然而,来自日益增长的大数据压力带来了额外的挑战:一方面,由于复杂算法和架构的快速发展,功耗已成为一个显著的挑战。目前,世界上约5%-15%的能源用于各种形式的数据处理,如传输或加工任务[11],并且考虑到物联网时代传感器无处不在,它们所生成的数据量也呈指数级增长,这一比例预计将迅速增加。另一方面,由于冯·诺依曼架构中处理单元和内存单元之间存在物理分离,基于此架构的数据处理越来越受到内存带宽的限制。虽然冯·诺依曼架构几十年来对科学技术的发展做出了巨大的贡献,但是由于相对缓慢且需要能量的数据移动,其表现出的效率是比较低的。

基于互补金属氧化物半导体(CMOS)技术的传统冯·诺依曼计算机不具备像人脑那样的学习或处理复杂数据的能力。为了解决数字计算机的局限性,世界各地都在利用生物学原理的启发,开发截然不同的方法。其中一种方法就是模拟人脑中信息处理类型的计算系统,进行神经形态系统的开发。

“神经形态”一词最初是由卡弗·米德在20世纪90年代创造的,指的是从大脑的神经生物结构中获得灵感的混合信号模拟/数字超大规模集成计算系统[12]。“神经形态工程”是一个跨学科研究领域,专注于构建电子神经处理系统,以直接“模拟”真实神经元和突触的生物物理特性[13]

神经元及其突触的生理学过程极其复杂,难以进行人工模拟。神经元在所有处理过程中都使用化学模拟信号,这是大脑的一个关键生理学特征。这个特征大大增加了在计算机中复制大脑的难度,因为目前的计算机是完全数字化的。然而,部分特征可以抽象为数学函数,这些函数能够紧密捕捉神经元运行的本质。

最近,神经形态一词的定义在另外两个方向上进行了扩展[14]。首先,术语“神经形态”用于描述用于探索大规模计算神经科学模型的基于脉冲(spike)的处理系统。其次,神经形态计算包括实现神经元和突触电路的专用电子神经架构。注意,这一概念不同于人工智能机器学习方法,人工智能机器学习方法基于纯软件算法,开发纯软件算法是为了最小化模式识别任务中的识别错误[15]。然而,关于神经形态计算的精确定义有一些争议。它可以从非常严格的高保真模仿神经科学原理(其中必须有非常详细的突触化学动力学)到非常模糊的高层松散的大脑启发原理,例如简单的向量(输入)乘以矩阵(突触)乘法。

总的来说,到今天为止,人们普遍认为神经形态计算至少应该包括一些时间、事件或数据驱动的计算。在这个意义上,像脉冲神经网络(SNN)这样的系统,有时被称为第三代神经网络,具有很强的代表性[16]

自然是向更可持续计算场景发展的重要灵感来源。在这种场景中,由于非易失性存储器、模拟/数字处理电路的集成等因素,神经形态系统具备复杂数据环境中的动态学习能力,显示出比传统处理器低得多的功耗。构建模拟生物对应物的人工神经网络是计算领域剩下的挑战之一。如果基本技术问题在未来几年内得到解决,低功耗、高速的神经形态计算机将推动对神经形态设备的需求,神经形态计算市场预计将从2025年的2亿美元上升到2035年的220亿美元[17]

神经形态计算的目标不是完美地模拟大脑及其所有功能,而是利用已知的大脑结构和运转机制来研发或优化实际的计算系统。任何神经形态学系统都不会声称或试图复制神经元和突触中的每一个元素,但所有人都一致认可将计算高度分散于一系列类似于神经元的小型计算元素的理念。研究人员用不同的方法来追求这一普遍目标[18]

代表性成果

早在2006年,佐治亚理工学院的研究人员就研发出了一种现场可编程神经阵列[19]。在此之后,一系列越来越复杂的浮栅晶体管阵列被成功研发出来,这些晶体管阵列可以通过在金属-氧化物半导体效应晶体管MOSFET的栅极上编程来模拟大脑中神经元的离子通道特性,这也是以硅为主要材料的可编程神经元阵列的最早成功案例之一。

2011年11月,麻省理工学院的一组研究人员研发出一种计算机芯片,该芯片上使用标准的互补金属氧化物半导体CMOS制造技术集成了400个晶体管,能够模拟神经元间突触中基于离子的通讯[20][21]

2012年6月,普渡大学的自旋电子学研究人员发表了一篇关于利用侧向自旋阀和忆阻器设计神经形态芯片的论文。他们认为,这种芯片结构的工作原理与神经元相似,因此可以用于大脑运行机制的复刻方法的测试。此外,这些芯片在能耗方面明显优于传统芯片[22]

惠普实验室在莫特忆阻器上的研究表明,尽管它们可以是非易失性的,但是在相变温度以下时表现出的易失性行为可以被用来制造类神经元电阻器(一种生物学启发的模仿神经元行为的硬件)[23]。2013年9月,他们通过模型和仿真展示了这些类神经元电阻器的脉冲行为如何产生图灵机的所需元素[24]

神经栅格 Neurogrid是由斯坦福大学Brains in Silicon公司研发的、使用神经形态工程原理设计的硬件。该电路板由16个定制设计的芯片组成。在设计中,每个NeuroCore芯片的模拟电路对65536个神经元的神经元素进行模拟,以最大限度地提高能量效率。模拟出的神经元通过设计的数字电路连接,以最大化脉冲吞吐量[25][26]

人类大脑计划 Human Brain Project对神经形态工程具有较大影响,其主要任务是尝试用生物数据在超级计算机中模拟完整的人脑。人类大脑计划由神经科学、医学和计算机科学背景的研究人员组成[27]。该项目的联合主管亨利•马克拉姆 Henry Markram表示,人类大脑计划的目的是建立一个探索和了解脑科学和脑疾病知识的基础,并利用这些知识来构建更先进的计算机技术。这个项目的三个主要目标分别是: 更好地理解大脑的各个部分是如何相互配合协同工作的; 理解如何客观地诊断和治疗脑部疾病; 以及利用对人类大脑的理解来开发神经形态计算机。模拟一个完整的人类大脑需要一台比现在强大一千倍的超级计算机,这不断激发着对神经形态计算机领域的研究兴趣[28]。欧盟委员会已经向人类大脑计划拨款13亿美元[29]

其他与神经形态工程有关的研究还包括脑计划 BRAIN initiative[30],和IBM研发的TrueNorth芯片[31]。使用纳米晶体、纳米线和导电聚合物也能够用于制造神经形态学硬件[32]

2017年10月,英特尔发布了神经形态芯片 Loihi。该芯片采用异步脉冲神经网络实现了自适应、自修改、事件驱动的细粒度并行计算,实现了高效的学习和推理[33][34]

比利时的微电子研究中心(IMEC)研发了世界上首个自学习神经形态芯片。这种基于丝状氧化物电阻存储技术 filamentary-oxide-based resistive memory technology(OxRAM)技术的大脑启发芯片具有自学习能力,并且已被证明具有创作音乐的能力[35]。IMEC发布了由芯片原型机谱写的30秒旋律。向芯片加载一系列特征、风格统一的歌曲(古代比利时和法国长笛小步舞曲),芯片从中学习相关规则并将其应用于创作[36]

由Henry Markram领导的蓝脑计划 The Blue Brain Project旨在建立小鼠大脑生理学细节的数字重建和模拟。蓝脑计划已经建立了啮齿动物大脑的电子模型,同时进行着尽可能多地复制其生理学细节的尝试。基于超级计算机的模拟为理解大脑的结构和功能提供了新的视角。

欧盟资助了海德堡大学一系列促进BrainScaleS(神经形态混合系统中受大脑启发的多尺度计算)发展的项目,这是一台位于德国海德堡大学的混合模拟神经形态超级计算机。它是作为人类大脑计划中神经形态计算平台的一部分而开发的,是SpiNNaker超级计算机(基于数字技术)的补充。BrainScaleS中使用的体系架构模拟了生物神经元及其在物理层面上的连接;此外,由于这些组件是由硅制成的,这些模型神经元平均运行速度是生物神经元的864倍,这意味着在机器模拟中,24小时的实时时间仅为100秒[37]

神经形态材料和设备

为了推进神经形态计算和工程领域,探索新型材料和器件将具有关键意义,以便以颠覆性的方式提高最先进的CMOS解决方案的能效和可扩展性[14][38]

忆阻器件可以改变其电导以响应电脉冲[39][40][41],有望作为神经形态电路中突触和神经元的能量和空间高效硬件表征。忆阻器件最初是作为二进制非易失性随机存取存储器提出的,该领域的研究目标主要是在固态驱动器技术(例如闪存更换)或存储类存储器[42]中寻求更高性能。然而,由于模拟可调性和复杂的开关动力学,忆阻器件还可以实现新的计算功能,例如模拟计算或实现受大脑启发的学习规则。据报道,大量不同的物理现象都表现出忆阻行为,包括电子效应、离子效应以及结构有序效应。

神经形态工程中使用的材料包括磁性合金、金属氧化物、硫属化合物,也包括2D 范德瓦尔斯材料或有机材料。部分新兴存储设备已经作为二进制非易失性存储器有了商业应用,例如相变存储器(PCM)、磁性随机存取存储器、铁电存储器以及基于氧化还原的电阻随机存取存储器。纳米线网络(nanowire networks)、2D材料、有机材料等材料的发展尚未成熟,但可能为柔性电子或3D集成提供扩展功能和新机会。

Intel芯片Loihi及系统Lava

Loihi是Intel实验室设计的一种神经形态研究测试芯片,它使用异步脉冲神经网络(SNN)来实现自适应、自修改、事件驱动的细粒度并行计算,用于高效实现学习和推理。该芯片是一个128个神经形态核的多核集成电路,采用英特尔14纳米工艺制造,具有独特的用于片上SNN训练的可编程微码学习引擎。该芯片在俄勒冈州2018年神经启发计算元素(NICE)研讨会上正式亮相。

2021年9月,Intel推出其第二代神经形态研究芯片Loihi2和用于神经形态应用研发的开源软件框架Lava[43][44][45]

Loihi 2的进步使该架构能够支持新类别的神经形态算法和应用,同时提供高达10倍的处理速度,高达15倍的资源密度。每个芯片上有多达100万个神经元,并提高了能效。与过去的工艺技术相比,Loihi2采取Intel 4技术,使用极紫外光刻技术,简化了布局设计规则,使得Loihi 2的快速开发成为可能。

Lava软件框架解决了神经形态研究社区对通用软件框架的需求。作为一个开放、模块化和可扩展的框架,Lava将允许研究人员和应用程序开发人员在彼此的基础上进行开发,并提供一组通用的工具、方法和库来进行聚合。Lava可在传统处理器和神经形态处理器的异构架构上无缝运行,实现了各种人工智能、神经形态和机器人框架的跨平台执行和互操作。开发人员可以直接开始构建神经形态应用程序,而无需访问专门的神经形态硬件,并可以为Lava代码库做出贡献,包括将其移植到其他平台上运行。

清华天机芯Tianjic

天机芯片由清华类脑计算中心团队推出,结合了基于计算机科学和基于神经科学的两种人工通用智能开发方法,提供了一个混合、协同的平台。Tianjic芯片[46]采用了多核架构、可重构的构建块和带有混合编码方案的简化数据流,不仅可以适应基于计算机科学的机器学习算法,还可以轻松实现脑激励电路和多种编码方案。研发团队已经实现在实时目标检测、跟踪、语音控制、避障和平衡控制等任务中仅使用该芯片同时处理多种算法和模型的尝试。天机芯片的进一步研究有望通过促进通用硬件平台的发展来促进通用人工智能的发展。

神经忆阻系统

神经忆阻系统是神经形态计算系统的一个亚类,主要研究利用忆阻器 Memristors实现神经可塑性 Neuroplasticity。神经形态工程的重点是模拟生物行为,而神经忆阻系统的重点是提取[47]。举个例子,一个神经忆阻系统可能用抽象的神经网络模型替代皮层微电路的行为细节[48]。受神经元启发、使用忆阻器实现的阈值逻辑函数[49]在高级模式识别中有着广泛的应用,最近报道中其应用包括语音识别[50]、人脸识别[51]和物体识别[52]。阈值逻辑函数还可以用来取代传统的数字逻辑门[53][54]

对于理想的无源记忆电路,电路的内部记忆可以用精确的方程(Caravelli-Traversa-Di Ventra方程) 来描述[55]:

[math]\displaystyle{ \frac{d}{dt} \vec{W} = \alpha \vec{W}-\frac{1}{\beta} (I+\xi \Omega W)^{-1} \Omega \vec S }[/math]

Caravelli-Traversa-Di Ventra方程是描述物理记忆网络和外部源性质的函数。在上述方程中,[math]\displaystyle{ \alpha }[/math]是“遗忘”时间尺度常数,[math]\displaystyle{ \xi=r-1 }[/math][math]\displaystyle{ r =\frac{R\text_{off}}{R_\text{on}} }[/math]是记忆电阻器off状态和on状态极限电阻值之比,[math]\displaystyle{ \vec S }[/math]是电路源的矢量,[math]\displaystyle{ \Omega }[/math]是电路基本环路的投影。常数[math]\displaystyle{ \beta }[/math]具有电压的量纲,与记忆电阻器的特性有关;其物理原型是导体中的电荷迁移率。对角矩阵和向量 [math]\displaystyle{ W=\operatorname{diag}(\vec W) }[/math][math]\displaystyle{ \vec W }[/math] 分别是忆阻器的内阻,值在0到1之间。因此,这个等式需要在内存值=上添加额外约束以保证可靠性。

神经形态电路

神经形态器件可以与传统的CMOS晶体管集成,以开发功能齐全的神经形态电路。神经形态电路中的一个关键元素是非冯·诺依曼架构,例如由多个核组成,实现分布式的计算和内存。采用脉冲来表示、交换和计算类似于大脑动作电位的数据的SSN,和受大脑启发程度较小的电路(例如ANN),通常都包括在神经形态电路中。

无论具体学习和处理算法是什么,神经形态电路中的一个关键处理元素是神经网络,包括突触和神经元。鉴于神经网络的核心作用,目前一项重要的研究工作就是如何通过内存计算(inmemory computing)实现密集、快速和节能的神经网络[56]。例如,内存阵列可以加速矩阵向量乘法(MVM)[57],并利用欧姆定律和基尔霍夫定律在网络中实现乘法和求和,这是许多神经形态电路的共同特征,包括SNN和非SNN网络。MVM交叉点电路能够以高密度、高实时处理速度和高能效完成对突触层的直接实现,尽管其准确性受到记忆器件随机变化和模拟计算的挑战。

另一个电路挑战是模数混合计算,这导致需要在模拟交叉点阵列和数字系统之间的接口处需要使用大型高能模数转换器电路。

最后,神经形态电路似乎从混合集成中能取得最大的获益,即将前端CMOS技术与能够实现MVM和神经生物学功能的新型存储设备相结合,例如脉冲集成、短期记忆和突触可塑性[58]。从长远来看,混合集成可能还需要扩展到替代性纳米技术概念,例如自下而上的纳米线网络,[59]以及替代性计算概念,例如光子[60]甚至量子计算[61],在单个系统甚至单个芯片内具有三维集成结构。

神经形态算法

在真实应用系统应用神经形态工程的一个基本挑战是要直接在脉冲域中对其进行训练,以便达到更节能、更精确的效果,实现在不依赖重型云计算服务器的情况下不断学习和更新便携式设备本身的知识也是一个挑战。脉冲数据往往分布稀疏,具有一定的随机性和嵌入噪声,与非理想非线性突触和神经元相互作用。生物知道如何充分利用这一切,并从周围环境中有效地获取知识。

从这个意义上说,计算神经科学可以成为启发神经形态工程学的关键因素:这门学科中大脑如何在各种尺度上执行计算,从小神经元群、中尺度聚合到完整组织,大脑区域和整个大脑与周围传感器和运动执行器相互作用,都是非常值得学习的。另一方面,关于信息如何在大脑中使用神经脉冲编码的基本问题也出现了。显然,为了提高处理和通信的能效,大脑会最大限度地提高每单位脉冲的信息量[62]。这意味着通过利用时空信号处理来分解信息的编码和处理,以最大化信息量,同时最小化能量和资源。

SNN

受到生物神经元特性启发,脉冲神经网络(SNN)使用脉冲——这是一种发生在时间点上的离散事件——而非常见的连续值。每个峰值由代表生物过程的微分方程表示出来,其中最重要的是神经元的膜电位。本质上,一旦神经元达到了某一电位,脉冲就会出现,随后达到电位的神经元会被重置。SNN电路的动态特性及其由异步技术支持的时空稀疏性使其在快速有效地处理动态信号方面特别有前途。

与成熟有效的人工神经网络 (ANN) 训练算法:误差反向传播算法 (Back Propagation) 不同,复杂的动力学和脉冲的不可微性质导致SNN的训练更为困难。此外,神经形态脉冲神经结构无法如冯·诺伊曼架构般访问外部存储块来存储或检索信息。

在理解如何在硬件SNN中最佳设计信号处理和在线学习机制以解决复杂问题方面,神经形态计算和工程领域面临的挑战似乎是无法克服的。然而,生物大脑是一种存在证明,可以使用模拟的计算基底,并使用不均匀和不精确的信号处理元件,实现稳健和稳定的计算。因此,了解动物的大脑,即使是只有不到一百万个神经元的小昆虫大脑,是如何完成这项任务的,这也是在这一领域取得进展的关键。具体来说,应对这些挑战所需的科学进步需要强有力的跨学科方法。理论和计算神经科学的进步将提供一个核心组成部分。但是,这些需要得到电气工程多个子领域的概念和结果的补充,如信息理论、信号处理和控制理论,以及其他学科,如数学、计算机科学和机器人学。

应用

尽管神经形态计算和工程仍处于研发阶段,但随着研究进展,越来越多的应用领域被证明非常适合神经形态计算和工程,确定新的潜在应用领域以进一步探索使用神经形态材料和硬件如何解决这些问题也很重要。

视觉

基于神经形态事件(event-based, EB)的视觉传感器从人类视网膜的结构与功能中获得灵感,试图在超大规模集成电路硅芯片上重建视网膜的视觉信息采集和处理操作。加州理工学院C·米德团队研发的第一台此类设备名为“硅视网膜”,它于1991年登上了《科学美国人》的封面[63]。与早期更可靠的生物学模型相比,近年来,人们更多地关注创建可用于实际人工视觉应用的实际传感器设计,这些模型通常对许多不同的细胞类型和信号通路进行建模,从而导致设计方案非常复杂且实际可用性有限。

如今,大多数EB传感器设备基于“时间对比”或“变化检测”(change detection, CD)类型的操作,松散地模拟人类视觉系统的瞬态磁细胞路径。与传统图像传感器不同,CD传感器不对所有像素使用一个公共采样率(帧速率),而是每个像素通过对入射光量的变化作出反应来定义其自身采样点的定时,以响应其视觉输入[64][65][66]。因此,整个采样过程不再由人工定时源控制,而是由待采样信号本身控制,或者更准确地说,由信号随时间的变化控制。这种传感器生成的输出不是图像序列,而是基于场景中发生的动态有条件地生成和传输的像素单个对比度事件的准时间连续流。

由于所获得视觉动态的高时间精度、固有的数据稀疏性和鲁棒的高动态范围操作,加上在功率预算、后处理能力或传输带宽方面的考虑,EB传感器作为人工视觉系统的视觉传感器在需要高速或低延迟操作的应用、照明条件不受控制、资源有限的应用场景中中越来越普遍,例如在各种汽车、物联网、监控、移动或工业用例中[67]

听觉

神经形态听觉技术的灵感来自人类的听觉能力,人类即使在困难的听觉场景中也能理解语音,并且只使用大脑整个10W功耗的一小部分。匹配人类听觉能力是开发人工听觉设备中算法、硬件技术和应用程序的一个重要目标。

人类的听觉始于生物耳蜗,它使用空间来进行编码。传入的声音被编码为一组宽频率选择性通道产生的异步输出脉冲。对于频率低于3 kHz的信号,这些脉冲被锁相到频率[68]。这种编码方案导致从活动频率通道对频率信息进行稀疏采样,而不是在单个音频输入上使用最大采样率。最早的硅耳蜗设计始于Lyon和Mead(electronic cochlea)的工作,即通过一组耦合的过滤层级来模拟耳蜗的基底膜(BM)。后续设计中,滤波器层级具的设计有更好匹配特性,使用的耦合滤波器架构范围从最初提出的级联型对耳蜗的唯象输出的建模[69],到更明确地模拟BM和耳蜗液作用的电阻耦合带通滤波器组[70]。最近推出的采用更先进技术的脉冲耳蜗设计取得了更好的功率效率。

神经形态仿生特征,例如稀疏采样(例如,沉默暂停期间的非采样)、事件驱动的大脑计算形式、异步事件(指定时间窗口内的脉冲或脉冲集)携带的自然时间编码等,可以为解决听觉任务的硬件友好型模型提供更节能的解决方案。

社会与伦理

伦理问题

虽然神经形态工程这个跨学科概念相对较新,但许多适用于类人机器 Human-like machines和人工智能的伦理讨论在神经形态系统领域也无法避免。另外,神经形态系统是为了模仿人类大脑而设计,这一底层逻辑也导致了一些新的伦理问题。

然而,这种争论的实际情况是,神经形态硬件和人工“神经网络”是对大脑运作或处理信息过程的极其简化的模型,在大小和功能技术方面的复杂性相比而言比较低,在连接性方面也具有更加规则的结构。将神经形态芯片与大脑进行比较是一种非常粗糙的比较,类似于仅仅因为飞机有翅膀和尾巴就将它与鸟进行比较。事实上,当前最先进的人工智能在能耗效率和计算效率方面距离人脑神经认知系统仍有较大差距,而神经形态工程只是一种通过从大脑机制中获得灵感来缩小这种差距的尝试,就像许多工程设计中都具有生物启发的特征 Bio-inspired features一样。

由于公众认知的相关忧虑,神经形态工程学可能会受到严重的伦理限制[71]。欧盟委员会进行的一项调查指出,公众越来越关注能够模仿或复制人类行为的机器人[72]。而神经形态工程,顾名思义,是为了模仿人脑的功能而设计的。因此,神经形态系统可能因为其类似人类的特性而被归入许多欧盟公民希望在未来禁止使用的机器人类别。

人格权问题

随着神经形态系统的日益发展,一些学者主张赋予这些系统人格权。如果是大脑赋予了人类人格,那么在多大程度上模仿人类大脑的神经形态系统才能被赋予人格权利?“人类大脑计划”旨在推进以大脑为灵感的计算机技术发展,该计划的批评者认为,神经形态计算机技术的进步可能导致机器意识或人格的形成[73]。这些批评者认为,如果这些系统被当作人来对待,那么人类使用神经形态系统执行任务(包括终止神经形态系统)的行为,在道德上就可能是不被允许的,因为这些行为将违反神经形态系统的自主性[74]

法律问题

怀疑派认为,在法律上没有办法应用能够适用于神经形态技术的电子人格。在一封由285名法律、机器人技术、医学和伦理学专家的联名信中,作者们反对欧盟委员会提出的承认“智能机器人”为法人的提议。他们写道,“机器人的法律地位无法从自然人模型中推导出来,因为机器人将被赋予人权,如尊严权、完整权、报酬权或公民权,从而直接面临人权问题。这将有悖于《欧联基本权利宪章》和《欧洲保障人权和根本自由公约》”[75]

所有权及财产权问题

法律界围绕财产权和人工智能有着重大争论。在Acohs Pty有限公司诉Ucorp Pty有限公司一案中,澳大利亚联邦法院的Christopher Jessup法官发现,版权保护不适用于材料安全数据表的源代码,因为它是由软件界面生成而非人类工作者生成的[76]。同样的问题可能也适用于神经形态系统:如果一个神经形态系统成功地模仿了人类的大脑,并产生了一部原创作品,那么该如何确认这部作品的所有权归属[77]

军民两用技术

联合人工智能中心 The Joint Artificial Intelligence Center(JAIC),是美国军队的一个分支,专门从事采购和实施用于战斗的人工智能软件和神经形态硬件。具体应用包括智能耳机、护目镜和机器人。JAIC打算高度依赖神经形态技术,使用神经形态技术来连接神经形态单位网络中的“每个战士、每个射手”。

另见

参考文献

  1. Monroe, D. (2014). "Neuromorphic computing gets ready for the (really) big time". Communications of the ACM. 57 (6): 13–15. doi:10.1145/2601069.
  2. Zhao, W. S.; Agnus, G.; Derycke, V.; Filoramo, A.; Bourgoin, J. -P.; Gamrat, C. (2010). "Nanotube devices based crossbar architecture: Toward neuromorphic computing". Nanotechnology. 21 (17): 175202. Bibcode:2010Nanot..21q5202Z. doi:10.1088/0957-4484/21/17/175202. PMID 20368686.
  3. The Human Brain Project SP 9: Neuromorphic Computing Platform on YouTube
  4. Mead, Carver (1990). "Neuromorphic electronic systems" (PDF). Proceedings of the IEEE. 78 (10): 1629–1636. doi:10.1109/5.58356.
  5. 5.0 5.1 5.2 "Neuromorphic Circuits With Neural Modulation Enhancing the Information Content of Neural Signaling | International Conference on Neuromorphic Systems 2020" (in English). doi:10.1145/3407197.3407204.
  6. Zhou, You; Ramanathan, S. (2015-08-01). "Mott Memory and Neuromorphic Devices". Proceedings of the IEEE. 103 (8): 1289–1310. doi:10.1109/JPROC.2015.2431914. ISSN 0018-9219.
  7. Eshraghian, Jason K.; Ward, Max; Neftci, Emre; Wang, Xinxin; Lenz, Gregor; Dwivedi, Girish; Bennamoun, Mohammed; Jeong, Doo Seok; Lu, Wei D. (1 October 2021). "Training Spiking Neural Networks Using Lessons from Deep Learning". arXiv:2109.12894.
  8. "Hananel-Hazan/bindsnet: Simulation of spiking neural networks (SNNs) using PyTorch". 31 March 2020.
  9. Boddhu, S. K.; Gallagher, J. C. (2012). "Qualitative Functional Decomposition Analysis of Evolved Neuromorphic Flight Controllers". Applied Computational Intelligence and Soft Computing. 2012: 1–21. doi:10.1155/2012/705483.
  10. Mead, Carver. "carver mead website". carvermead.
  11. Vidal J 2017 ‘Tsunami of Data’ Could Consume One Fifth of Global Electricity by 2025 (Climate Home News).
  12. Mead C 1990 Neuromorphic electronic systems Proc. IEEE 78 1629–3.
  13. Chicca E, Stefanini F, Bartolozzi C and Indiveri G 2014 Neuromorphic electronic circuits for building autonomous cognitive systems Proc. IEEE 102 1367–88.
  14. 14.0 14.1 Chicca E and Indiveri G 2020 A recipe for creating ideal hybrid memristive-CMOS neuromorphic processing systems Appl. Phys. Lett. 116 120501.
  15. LeCun Y, Bengio Y and Hinton G 2015 Deep learning Nature 521 436–44.
  16. Maass W 1997 Networks of spiking neurons: the third generation of neural network models Neural Netw. 10 1659–71.
  17. Yole 2021 Neuromorphic computing and sensing 2021 Yole Reports www.yole.fr
  18. Furber, Steve (2016). "Large-scale neuromorphic computing systems". Journal of Neural Engineering. 13 (5): 1–15. Bibcode:2016JNEng..13e1001F. doi:10.1088/1741-2560/13/5/051001. PMID 27529195.
  19. Farquhar, Ethan; Hasler, Paul. (May 2006). A field programmable neural array. pp. 4114–4117. doi:10.1109/ISCAS.2006.1693534. ISBN 978-0-7803-9389-9.
  20. "MIT creates "brain chip"". Retrieved 4 December 2012.
  21. Poon, Chi-Sang; Zhou, Kuan (2011). "Neuromorphic silicon neurons and large-scale neural networks: challenges and opportunities". Frontiers in Neuroscience. 5: 108. doi:10.3389/fnins.2011.00108. PMC 3181466. PMID 21991244.
  22. Sharad, Mrigank; Augustine, Charles; Panagopoulos, Georgios; Roy, Kaushik (2012). "Proposal For Neuromorphic Hardware Using Spin Devices". arXiv:1206.3227 [cond-mat.dis-nn].
  23. Pickett, M. D.; Medeiros-Ribeiro, G.; Williams, R. S. (2012). "A scalable neuristor built with Mott memristors". Nature Materials. 12 (2): 114–7. Bibcode:2013NatMa..12..114P. doi:10.1038/nmat3510. PMID 23241533.
  24. Matthew D Pickett; R Stanley Williams (September 2013). "Phase transitions enable computational universality in neuristor-based cellular automata". Nanotechnology. IOP Publishing Ltd. 24 (38). 384002. Bibcode:2013Nanot..24L4002P. doi:10.1088/0957-4484/24/38/384002. PMID 23999059.
  25. Waldrop, M. Mitchell (2013). "Neuroelectronics: Smart connections". Nature. 503 (7474): 22–4. Bibcode:2013Natur.503...22W. doi:10.1038/503022a. PMID 24201264.
  26. Benjamin, Ben Varkey; Peiran Gao; McQuinn, Emmett; Choudhary, Swadesh; Chandrasekaran, Anand R.; Bussat, Jean-Marie; Alvarez-Icaza, Rodrigo; Arthur, John V.; Merolla, Paul A.; Boahen, Kwabena (2014). "Neurogrid: A Mixed-Analog-Digital Multichip System for Large-Scale Neural Simulations". Proceedings of the IEEE. 102 (5): 699–716. doi:10.1109/JPROC.2014.2313565.
  27. "Involved Organizations". Archived from the original on 2 March 2013. Retrieved 22 February 2013.
  28. "Human Brain Project". Retrieved 22 February 2013.
  29. "The Human Brain Project and Recruiting More Cyberwarriors". January 29, 2013. Retrieved 22 February 2013.
  30. Neuromorphic computing: The machine of a new soul, The Economist, 2013-08-03
  31. Modha, Dharmendra (Aug 2014). "A million spiking-neuron integrated circuit with a scalable communication network and interface". Science. 345 (6197): 668–673. Bibcode:2014Sci...345..668M. doi:10.1126/science.1254642. PMID 25104385.
  32. Fairfield, Jessamyn (March 1, 2017). "Smarter Machines" (PDF).
  33. Davies, Mike; et al. (January 16, 2018). "Loihi: A Neuromorphic Manycore Processor with On-Chip Learning". IEEE Micro. 38 (1): 82–99. doi:10.1109/MM.2018.112130359.
  34. Morris, John. "Why Intel built a neuromorphic chip". ZDNet (in English). Retrieved 17 August 2018.
  35. "Imec demonstrates self-learning neuromorphic chip that composes music". IMEC International. Retrieved 1 October 2019.
  36. Bourzac, Katherine (May 23, 2017). "A Neuromorphic Chip That Makes Music". IEEE Spectrum. Retrieved 1 October 2019.
  37. "Beyond von Neumann, Neuromorphic Computing Steadily Advances". HPCwire (in English). 2016-03-21. Retrieved 2021-10-08.
  38. Zidan M A, Strachan J P and Lu W D 2018 The future of electronics based on memristive systems Nat. Electron. 1 22–9.
  39. Chua L 1971 Memristor-the missing circuit element IEEE Trans. Circuit Theory 18 507–19
  40. Strukov D B, Snider G S, Stewart D R and Williams R S 2008 The missing memristor found Nature 453 80–3.
  41. Yang J J, Strukov D B and Stewart D R 2013 Memristive devices for computing Nat. Nanotechnol. 8 13–24.
  42. Dittmann R and Strachan J P 2019 Redox-based memristive devices for new computing paradigm APL Mater. 7 110903.
  43. Loihi-Intel. https://en.wikichip.org/wiki/intel/loihi.
  44. Intel advances neuromorphic with Loihi2, new Lava software framework and new partners, https://www.intel.com/content/www/us/en/newsroom/news/intel-unveils-neuromorphic-loihi-2-lava-software.html.
  45. Intel advances neuromorphic with Loihi2, new Lava software framework and new partners, https://www.intel.com/content/www/us/en/newsroom/news/intel-unveils-neuromorphic-loihi-2-lava-software.html.
  46. Pei, J., Deng, L., Song, S. et al. Towards artificial general intelligence with hybrid Tianjic chip architecture. Nature 572, 106–111 (2019). https://doi.org/10.1038/s41586-019-1424-8.
  47. "002.08 N.I.C.E. Workshop 2014: Towards Intelligent Computing with Neuromemristive Circuits and Systems - Feb. 2014". digitalops.sandia.gov. Retrieved 2019-08-26.
  48. C. Merkel and D. Kudithipudi, "Neuromemristive extreme learning machines for pattern classification," ISVLSI, 2014.
  49. Maan, A. K.; Jayadevi, D. A.; James, A. P. (2016-01-01). "A Survey of Memristive Threshold Logic Circuits". IEEE Transactions on Neural Networks and Learning Systems. PP (99): 1734–1746. arXiv:1604.07121. Bibcode:2016arXiv160407121M. doi:10.1109/TNNLS.2016.2547842. ISSN 2162-237X. PMID 27164608.
  50. Maan, A.K.; James, A.P.; Dimitrijev, S. (2015). "Memristor pattern recogniser: isolated speech word recognition". Electronics Letters. 51 (17): 1370–1372. Bibcode:2015ElL....51.1370M. doi:10.1049/el.2015.1428. hdl:10072/140989.
  51. Maan, Akshay Kumar; Kumar, Dinesh S.; James, Alex Pappachen (2014-01-01). "Memristive Threshold Logic Face Recognition". Procedia Computer Science. 5th Annual International Conference on Biologically Inspired Cognitive Architectures, 2014 BICA. 41: 98–103. doi:10.1016/j.procs.2014.11.090.
  52. Maan, A.K.; Kumar, D.S.; Sugathan, S.; James, A.P. (2015-10-01). "Memristive Threshold Logic Circuit Design of Fast Moving Object Detection". IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 23 (10): 2337–2341. arXiv:1410.1267. doi:10.1109/TVLSI.2014.2359801. ISSN 1063-8210.
  53. James, A.P.; Francis, L.R.V.J.; Kumar, D.S. (2014-01-01). "Resistive Threshold Logic". IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 22 (1): 190–195. arXiv:1308.0090. doi:10.1109/TVLSI.2012.2232946. ISSN 1063-8210.
  54. James, A.P.; Kumar, D.S.; Ajayan, A. (2015-11-01). "Threshold Logic Computing: Memristive-CMOS Circuits for Fast Fourier Transform and Vedic Multiplication". IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 23 (11): 2690–2694. arXiv:1411.5255. doi:10.1109/TVLSI.2014.2371857. ISSN 1063-8210.
  55. Caravelli; et al. (2017). "The complex dynamics of memristive circuits: analytical results and universal slow relaxation". Physical Review E. 95 (2): 022140. arXiv:1608.08651. Bibcode:2017PhRvE..95b2140C. doi:10.1103/PhysRevE.95.022140. PMID 28297937.
  56. Ielmini D and Wong H-S P 2018 In-memory computing with resistive switching devices Nat. Electron. 1 333–43.
  57. Li C et al 2018 Analogue signal and image processing with large memristor crossbars Nat. Electron. 1 52–9.
  58. Ielmini D, Wang Z and Liu Y 2021 Brain-inspired computing via memory device physics APL Mater. 9 050702.
  59. Milano G, Pedretti G, Fretto M, Boarino L, Benfenati F, Ielmini D, Valov I and Ricciardi C 2020 Brain-inspired structural.plasticity through reweighting and rewiring in multi-terminal self-organizing memristive nanowire networks Adv. Intell. Syst. 22000096.
  60. Shastri B J, Tait A N, Ferreira de Lima T, Pernice W H P, Bhaskaran H, Wright C D and Prucnal P R 2021 Photonics for artificial intelligence and neuromorphic computing Nat. Photon. 15 102–14
  61. Markovi´c D and Grollier J 2020 Quantum neuromorphic computing Appl. Phys. Lett. 117 150501.
  62. Thorpe S, Fize D and Marlot C 1996 Speed of processing in the human visual system Nature 381 520–2.
  63. Mahowald M and Mead C 1991 The silicon retina Sci. Am. 264 76–82.
  64. Lichtsteiner P, Posch C and Delbruck T 2008 A 128 × 128 120 dB 15 μs latency asynchronous temporal contrast vision sensor IEEE J. Solid-State Circuits 43 566–76.
  65. Son B et al 2017 A 640 × 480 dynamic vision sensor with a 9 μm pixel and 300 Meps addressevent representation 2017 IEEE Int. Solid-State Circuits Conf. (ISSCC) (San Francisco, CA) pp 66–7.
  66. Finateu T et al 2020 A 1280 × 720 back-illuminated stacked temporal contrast event-based vision sensor with 4.86 μm pixels, 1.066 GEPS readout, programmable event-rate controller and compressive data-formatting pipeline 2020 IEEE Int. Solid-State Circuits Conf. (ISSCC) (San Francisco, CA, USA) pp 112–4.
  67. Gallego G et al 2020 Event-based vision: a survey IEEE Trans. Pattern Anal. Mach. Intell. 44 154–80.
  68. Palmer A R and Russell I J 1986 Phase-locking in the cochlear nerve of the Guinea-pig and its relation to the receptor potential of inner hair-cells Hear. Res. 24 1–15.
  69. Lyon R F, Katsiamis A G and Drakakis E M 2010 History and future of auditory filter models Proc. 2010 IEEE Int. Symp. Circuits and Systems pp 3809–12.
  70. Liu S-C, Delbruck T, Indiveri G, Whatley A and Douglas R 2015 Event-Based Neuromorphic Systems (New York: Wiley).
  71. 2015 Study Panel (September 2016). "Artificial Intelligence and Life in 2030" (PDF). One Hundred Year Study on Artificial Intelligence (AI100). Stanford University.
  72. European Commission (September 2012). "Special Eurobarometer 382: Public Attitudes Towards Robots" (PDF). European Commission.
  73. Aicardi, Christine (September 2018). "Accompanying technology development in the Human Brain Project: From foresight to ethics management". Futures. 102: 114–124. doi:10.1016/j.futures.2018.01.005.
  74. Lim, Daniel (2014-06-01). "Brain simulation and personhood: a concern with the Human Brain Project". Ethics and Information Technology (in English). 16 (2): 77–89. doi:10.1007/s10676-013-9330-5. ISSN 1572-8439.
  75. "Robotics Openletter | Open letter to the European Commission" (in français). Retrieved 2019-05-10.
  76. Lavan. "Copyright in source code and digital products". Lavan (in English). Retrieved 2019-05-10.
  77. Eshraghian, Jason K. (9 March 2020). "Human Ownership of Artificial Creativity". Nature Machine Intelligence. 2: 157–160. doi:10.1038/s42256-020-0161-x.

外部链接

编者推荐

集智课程

神经动力学模型读书会

人类大脑是一个由数以百亿计的神经元相互连接所构成的复杂系统。自神经科学这一学科建立以来,从解析神经元之间的相互作用机理、到刻画皮层柱之间的连接形式、再到探究脑区间不同认知功能的分离与整合模式,无数科学家试图从不同尺度研究大脑,以期揭示人脑这一最为复杂的神经系统的工作模式,进而理解语言、情绪、记忆和社会交往等高级认知活动的底层神经机制,并一定程度上启发通用https://campus.swarma.org/course/4370人工智能机器人的设计。

近年来,脱胎于系统科学的动力学建模方法,逐渐被广泛地应用于神经科学研究中,其作为一种绝佳的数理工具,愈发地受到研究人员的重视,在类脑计算、脑认知原理解析和脑重大疾病致病机理探索等具体方面,发挥着不可替代的作用。

本着促进神经科学、系统科学以及计算机科学等不同领域的学术工作者的交流与合作,激发有志于加入脑科学与类脑研究这一领域的同学们的研究兴趣,来自国内外多所知名高校的专家学者(详见发起人介绍)共同发起了「神经动力学模型」读书会,聚焦于神经科学中的动力学建模这一前沿课题,讨论他们在研究一线中遇到的实际困惑,对相关文献进行深入梳理、激发跨学科的学术火花。

廖旭红:从脑网络到认知

脑是自然界最为复杂的系统之一。近年来,研究者逐渐意识到脑网络是人脑进行高效信息传输、实现各种认知功能的基础,开始全面而细致刻画人脑结构和功能连接模式。该报告将从基于磁共振成像技术和复杂网络理论的脑网络研究框架出发,探讨人脑结构和功能的组织原则以及认知行为的脑机制。

李印赟:神经突触可塑性微观机制及神经环路研究

该讲座分为两部分:第一部分为神经元的轴突输运特征及其与神经形态之间的关系,第二部分为神经突触可塑性中涉及的囊泡输运和释放,短时突触可塑性的神经细胞差异性及其在神经元微环路中细胞发放特征的作用。


本中文词条由Shenky20翻译,薄荷和江森-JS编辑,如有问题,欢迎在讨论页面留言。

本词条内容源自wikipedia及公开资料,遵守 CC3.0协议。